rezso/HDL

Project ID: 46761

Build Monitor

Package Epel 8 Epel 9 Fedora 38 Fedora 39 Fedora 40 Fedora rawhide
aarch64 ppc64le x86_64 aarch64 ppc64le x86_64 aarch64 ppc64le x86_64 aarch64 ppc64le x86_64 aarch64 ppc64le x86_64 aarch64 ppc64le x86_64
abc succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
amaranth succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
avr-binutils succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
avr-gcc succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
avr-gcc-8 succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
avr-libc succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded - - succeeded succeeded succeeded
bigspicy succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked forked forked forked succeeded succeeded succeeded
blt succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked forked forked forked succeeded succeeded succeeded
capnproto - - - succeeded succeeded succeeded - - - - - - - - - - - -
capnproto-compat succeeded succeeded succeeded - - - - - - - - - - - - - - -
circt - - - - - - - - - - - - forked forked forked succeeded succeeded succeeded
cocotb succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded - succeeded succeeded succeeded succeeded succeeded succeeded succeeded
corsair succeeded succeeded succeeded - - - succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
cross-linux-gnu-binutils succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
cross-linux-gnu-gcc succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked succeeded succeeded succeeded
cross-linux-gnu-glibc succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked succeeded succeeded succeeded
cross-linux-gnu-kernel-headers succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
cross-linux-gnu-musl succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded - - succeeded succeeded succeeded
cross-linux-gnu-picolibc succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
cross-unknown-elf-binutils succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
cross-unknown-elf-gcc succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
cross-unknown-elf-musl succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded - - succeeded succeeded succeeded
cross-unknown-elf-newlib succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
cross-unknown-elf-picolibc succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
edalize succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked forked forked forked succeeded succeeded succeeded
fasm succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked succeeded succeeded succeeded
flashrom succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked succeeded succeeded succeeded
fpga-interchange-schema succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked succeeded succeeded succeeded
fusesoc succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
gdbwave succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked forked forked forked succeeded succeeded succeeded
ghdl succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
ghdl-yosys-plugin succeeded succeeded succeeded - - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
gtkwave succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
hidapi - - - succeeded succeeded succeeded - - - - - - - - - - - -
icestorm succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked succeeded succeeded succeeded
iverilog succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
jimtcl succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
json11 - - - succeeded succeeded succeeded - - - forked forked forked forked forked forked succeeded succeeded succeeded
libgpiod - - - succeeded succeeded succeeded succeeded succeeded succeeded - - - - - - - - -
libgpiod-compat - - - - - - succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
libjaylink succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
litex succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
litex-boards succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded - - succeeded succeeded succeeded
litex-litedram succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
litex-liteeth succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded - - succeeded succeeded succeeded
litex-litehyperbus succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
litex-liteiclink failed failed failed succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked succeeded succeeded succeeded
litex-litejesd204b succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked succeeded succeeded succeeded
litex-litepcie succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
litex-litesata succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
litex-litescope succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked succeeded succeeded succeeded
litex-litesdcard succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked succeeded succeeded succeeded
litex-litespi succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked succeeded succeeded succeeded
litex-litevideo succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked forked forked forked succeeded succeeded succeeded
litex-pythondata-cpu-blackparrot succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
litex-pythondata-cpu-cv32e40p succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
litex-pythondata-cpu-cv32e41p succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
litex-pythondata-cpu-cva5 succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
litex-pythondata-cpu-cva6 succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
litex-pythondata-cpu-ibex succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
litex-pythondata-cpu-lm32 succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
litex-pythondata-cpu-marocchino succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
litex-pythondata-cpu-microwatt succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
litex-pythondata-cpu-minerva succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
litex-pythondata-cpu-mor1kx succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
litex-pythondata-cpu-naxriscv succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
litex-pythondata-cpu-picorv32 succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
litex-pythondata-cpu-rocket succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
litex-pythondata-cpu-serv succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
litex-pythondata-cpu-vexriscv succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked succeeded succeeded succeeded
litex-pythondata-cpu-vexriscv-smp succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
litex-pythondata-misc-opentitan succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked forked forked forked succeeded succeeded succeeded
litex-pythondata-misc-tapcfg succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
litex-pythondata-misc-usb_ohci succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
litex-pythondata-software-compiler_rt succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
litex-pythondata-software-picolibc succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
migen succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked succeeded succeeded succeeded
mot-adms succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked forked forked forked succeeded succeeded succeeded
nextpnr succeeded succeeded succeeded succeeded failed succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
ngspice succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked succeeded succeeded succeeded
nngen succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked succeeded succeeded succeeded
openfpgaloader succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded - - succeeded succeeded succeeded succeeded succeeded succeeded
openocd succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
prjapicula succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
prjoxide succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked succeeded succeeded succeeded
prjtrellis succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
prjxray succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
pycapnp succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
pyfda failed failed failed failed failed failed failed failed failed failed failed failed failed failed failed failed failed failed
pyrtl failed failed failed succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
pysat succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
python-cmake-build-extension succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
python-crc succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
python-crcmod succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
python-fpga-interchange succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
python-importlib-resources succeeded succeeded succeeded succeeded succeeded succeeded - - - - - - - - - - - -
python-okonomiyaki succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
python-orderedmutidict succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
python-platformio - - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
python-pygraphviz - - - succeeded succeeded succeeded - - - - - - - - - - - -
python-simplesat succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
python-textx failed failed failed failed failed failed failed failed failed failed failed failed forked forked forked failed failed failed
python-xdot succeeded succeeded succeeded succeeded succeeded succeeded - - - - - - - - - - - -
python-zipfile2 succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
python-zipp - - - succeeded succeeded succeeded - - - - - - - - - - - -
pytooling succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
pyvcd succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
pyverilog succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
pyvhdlmodel succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked succeeded succeeded succeeded
rapidwright succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded - - succeeded succeeded succeeded
rapidyaml succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked forked forked forked succeeded succeeded succeeded
schemdraw succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked succeeded succeeded succeeded
silice succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
surelog failed failed failed failed failed failed failed failed failed failed failed failed failed failed failed failed failed failed
sv-lang failed failed failed failed failed failed failed failed failed failed failed failed failed failed failed failed failed failed
symbiyosys succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked forked forked forked succeeded succeeded succeeded
trilinos canceled canceled canceled canceled canceled canceled canceled failed canceled forked forked forked forked forked forked canceled canceled canceled
uhdm succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked succeeded succeeded succeeded
vcdvcd succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked succeeded succeeded succeeded
verible succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
verilator succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
veriloggen succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked succeeded succeeded succeeded
vtr succeeded succeeded succeeded succeeded failed succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded - - succeeded succeeded succeeded
wal succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
wavedrompy succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked succeeded succeeded succeeded
xdm succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked succeeded succeeded succeeded
xyce succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded forked forked forked forked forked forked failed failed failed
yosys succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
Possible build states importing - Package sources are being imported into Copr DistGit.
pending - Build is waiting in queue for a backend worker.
starting - Backend worker is trying to acquire a builder machine.
running - Build in progress.
succeeded - Successfully built.
forked - Build has been forked from another build.
skipped - This package was skipped, see the reason for each chroot separately.
failed - Build failed. See logs for more details.
canceled - The build has been cancelled manually.
waiting - Task is waiting for something else to finish.