rezso/HDL

Project ID: 46761

Build Monitor

Package Epel 10 Fedora 42 Fedora rawhide
aarch64 x86_64 aarch64 x86_64 aarch64 x86_64
abc succeeded succeeded succeeded succeeded succeeded succeeded
amaranth succeeded succeeded succeeded succeeded succeeded succeeded
avr-binutils succeeded succeeded succeeded succeeded succeeded succeeded
avr-gcc succeeded succeeded succeeded succeeded succeeded succeeded
avr-gcc-8 - - forked forked succeeded succeeded
avr-libc - - succeeded succeeded succeeded succeeded
bigspicy - - forked forked succeeded succeeded
blt - - forked forked succeeded succeeded
capnproto - - - - - -
capnproto-compat - - - - - -
circt - - forked forked succeeded succeeded
cocotb succeeded succeeded succeeded succeeded succeeded succeeded
corsair - - succeeded succeeded succeeded succeeded
cross-linux-gnu-binutils succeeded succeeded succeeded succeeded succeeded succeeded
cross-linux-gnu-gcc succeeded succeeded succeeded succeeded succeeded succeeded
cross-linux-gnu-glibc succeeded succeeded succeeded succeeded succeeded succeeded
cross-linux-gnu-kernel-headers succeeded succeeded succeeded succeeded succeeded succeeded
cross-linux-gnu-musl succeeded succeeded succeeded succeeded succeeded succeeded
cross-linux-gnu-picolibc succeeded succeeded succeeded succeeded succeeded succeeded
cross-unknown-elf-binutils succeeded succeeded succeeded succeeded succeeded succeeded
cross-unknown-elf-gcc succeeded succeeded succeeded succeeded succeeded succeeded
cross-unknown-elf-musl - - succeeded succeeded succeeded succeeded
cross-unknown-elf-newlib succeeded succeeded succeeded succeeded succeeded succeeded
cross-unknown-elf-picolibc succeeded succeeded succeeded succeeded succeeded succeeded
edalize - - forked forked succeeded succeeded
fasm succeeded succeeded succeeded succeeded succeeded succeeded
flashrom succeeded succeeded succeeded succeeded succeeded succeeded
fpga-interchange-schema - - forked forked succeeded succeeded
fusesoc - - - - succeeded succeeded
gdbwave - - forked forked succeeded succeeded
ghdl - - succeeded succeeded failed failed
ghdl-yosys-plugin - - succeeded succeeded succeeded succeeded
gtkwave succeeded succeeded succeeded succeeded succeeded succeeded
hidapi - - - - - -
icestorm succeeded succeeded succeeded succeeded succeeded succeeded
iverilog succeeded succeeded succeeded succeeded succeeded succeeded
jimtcl - - succeeded succeeded succeeded succeeded
json11 - - forked forked succeeded succeeded
libgpiod - - - - - -
libgpiod-compat succeeded succeeded succeeded succeeded succeeded succeeded
libjaylink succeeded succeeded succeeded succeeded succeeded succeeded
litex - - succeeded succeeded succeeded succeeded
litex-boards - - failed failed failed failed
litex-litedram - - failed failed failed failed
litex-liteeth - - succeeded succeeded succeeded succeeded
litex-litehyperbus - - forked forked succeeded succeeded
litex-liteiclink - - failed failed failed failed
litex-litejesd204b - - succeeded succeeded succeeded succeeded
litex-litepcie - - succeeded succeeded succeeded succeeded
litex-litesata - - succeeded succeeded succeeded succeeded
litex-litescope - - succeeded succeeded succeeded succeeded
litex-litesdcard - - succeeded succeeded succeeded succeeded
litex-litespi - - succeeded succeeded succeeded succeeded
litex-litevideo - - forked forked succeeded succeeded
litex-pythondata-cpu-blackparrot - - forked forked succeeded succeeded
litex-pythondata-cpu-cv32e40p - - forked forked succeeded succeeded
litex-pythondata-cpu-cv32e41p - - forked forked succeeded succeeded
litex-pythondata-cpu-cva5 - - succeeded succeeded succeeded succeeded
litex-pythondata-cpu-cva6 - - forked forked succeeded succeeded
litex-pythondata-cpu-ibex - - - - succeeded succeeded
litex-pythondata-cpu-lm32 - - forked forked succeeded succeeded
litex-pythondata-cpu-marocchino - - forked forked succeeded succeeded
litex-pythondata-cpu-microwatt - - forked forked succeeded succeeded
litex-pythondata-cpu-minerva - - succeeded succeeded succeeded succeeded
litex-pythondata-cpu-mor1kx - - forked forked succeeded succeeded
litex-pythondata-cpu-naxriscv - - forked forked succeeded succeeded
litex-pythondata-cpu-picorv32 - - forked forked succeeded succeeded
litex-pythondata-cpu-rocket - - forked forked succeeded succeeded
litex-pythondata-cpu-serv - - forked forked succeeded succeeded
litex-pythondata-cpu-vexriscv - - forked forked succeeded succeeded
litex-pythondata-cpu-vexriscv-smp - - forked forked succeeded succeeded
litex-pythondata-misc-opentitan - - forked forked succeeded succeeded
litex-pythondata-misc-tapcfg - - forked forked succeeded succeeded
litex-pythondata-misc-usb_ohci - - forked forked succeeded succeeded
litex-pythondata-software-compiler_rt - - forked forked succeeded succeeded
litex-pythondata-software-picolibc - - forked forked succeeded succeeded
migen - - succeeded succeeded succeeded succeeded
mot-adms - - forked forked succeeded succeeded
nextpnr - - succeeded succeeded succeeded succeeded
ngspice - - - - failed failed
nngen - - forked forked succeeded succeeded
nvc succeeded succeeded succeeded succeeded succeeded succeeded
openfpgaloader succeeded succeeded succeeded succeeded succeeded succeeded
openocd failed failed failed failed failed failed
prjapicula succeeded succeeded succeeded succeeded succeeded succeeded
prjoxide - - forked forked succeeded succeeded
prjtrellis succeeded succeeded succeeded succeeded succeeded succeeded
prjxray succeeded succeeded succeeded succeeded succeeded succeeded
pycapnp - - forked forked succeeded succeeded
pyfda - - failed failed failed failed
pyrtl - - failed failed failed failed
pysat succeeded succeeded succeeded succeeded succeeded succeeded
python-cmake-build-extension - - forked forked succeeded succeeded
python-crc succeeded succeeded succeeded succeeded succeeded succeeded
python-crcmod - - forked forked succeeded succeeded
python-fpga-interchange - - forked forked succeeded succeeded
python-importlib-resources - - - - - -
python-okonomiyaki - - forked forked succeeded succeeded
python-orderedmutidict succeeded succeeded succeeded succeeded succeeded succeeded
python-platformio succeeded succeeded succeeded succeeded succeeded succeeded
python-pygraphviz - - - - - -
python-simplesat - - succeeded succeeded succeeded succeeded
python-textx succeeded succeeded succeeded succeeded succeeded succeeded
python-xdot - - - - - -
python-zipfile2 - - forked forked succeeded succeeded
python-zipp - - - - - -
pytooling succeeded succeeded succeeded succeeded succeeded succeeded
pyvcd - - forked forked succeeded succeeded
pyverilog - - forked forked succeeded succeeded
pyvhdlmodel - - succeeded succeeded failed failed
rapidwright - - succeeded succeeded succeeded succeeded
rapidyaml - - forked forked succeeded succeeded
schemdraw - - forked forked succeeded succeeded
silice - - succeeded succeeded succeeded succeeded
surelog - - failed failed failed failed
sv-lang succeeded succeeded succeeded succeeded succeeded succeeded
symbiyosys - - forked forked succeeded succeeded
trilinos - - forked forked canceled canceled
uhdm - - succeeded succeeded succeeded succeeded
vcdvcd - - succeeded succeeded succeeded succeeded
verible - - failed failed failed failed
verilator succeeded succeeded succeeded succeeded succeeded succeeded
veriloggen - - forked forked succeeded succeeded
vtr - - failed failed failed failed
wal - - succeeded succeeded succeeded succeeded
wavedrompy - - forked forked succeeded succeeded
xdm - - forked forked succeeded succeeded
xyce - - forked forked failed failed
yosys succeeded succeeded succeeded succeeded succeeded succeeded
Possible build states importing - Package sources are being imported into Copr DistGit.
pending - Build is waiting in queue for a backend worker.
starting - Backend worker is trying to acquire a builder machine.
running - Build in progress.
succeeded - Successfully built.
forked - Build has been forked from another build.
skipped - This package was skipped, see the reason for each chroot separately.
failed - Build failed. See logs for more details.
canceled - The build has been cancelled manually.
waiting - Task is waiting for something else to finish.