rezso/HDL

Project ID: 46761

Build Monitor

Package Epel 10 Epel 9 Fedora 41 Fedora 42 Fedora rawhide
aarch64 x86_64 aarch64 x86_64 aarch64 x86_64 aarch64 x86_64 aarch64 x86_64
abc - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
amaranth - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
avr-binutils - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
avr-gcc - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
avr-gcc-8 - - succeeded succeeded forked forked forked forked succeeded succeeded
avr-libc - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
bigspicy - - succeeded succeeded forked forked forked forked succeeded succeeded
blt - - failed failed failed failed failed failed failed failed
capnproto - - succeeded succeeded - - - - - -
capnproto-compat - - - - - - - - - -
circt - - - - forked forked forked forked succeeded succeeded
cocotb - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
corsair - - - - succeeded succeeded succeeded succeeded succeeded succeeded
cross-linux-gnu-binutils - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
cross-linux-gnu-gcc - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
cross-linux-gnu-glibc - - succeeded succeeded succeeded succeeded forked forked succeeded succeeded
cross-linux-gnu-kernel-headers - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
cross-linux-gnu-musl - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
cross-linux-gnu-picolibc - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
cross-unknown-elf-binutils - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
cross-unknown-elf-gcc - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
cross-unknown-elf-musl - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
cross-unknown-elf-newlib - - succeeded succeeded forked forked forked forked succeeded succeeded
cross-unknown-elf-picolibc - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
edalize - - succeeded succeeded forked forked forked forked succeeded succeeded
fasm - - succeeded succeeded succeeded succeeded forked forked succeeded succeeded
flashrom - - failed failed succeeded succeeded succeeded succeeded succeeded succeeded
fpga-interchange-schema - - succeeded succeeded forked forked forked forked succeeded succeeded
fusesoc - - failed failed - - - - succeeded succeeded
gdbwave - - succeeded succeeded forked forked forked forked succeeded succeeded
ghdl - - succeeded succeeded succeeded succeeded succeeded succeeded failed failed
ghdl-yosys-plugin - - - - succeeded succeeded succeeded succeeded succeeded succeeded
gtkwave - - failed failed failed failed failed failed failed failed
hidapi - - succeeded succeeded - - - - - -
icestorm - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
iverilog - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
jimtcl - - failed failed failed failed failed failed failed failed
json11 - - succeeded succeeded forked forked forked forked succeeded succeeded
libgpiod - - succeeded succeeded - - - - - -
libgpiod-compat - - - - forked forked forked forked succeeded succeeded
libjaylink - - succeeded succeeded succeeded succeeded forked forked succeeded succeeded
litex - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
litex-boards - - failed failed failed failed failed failed failed failed
litex-litedram - - failed failed failed failed failed failed failed failed
litex-liteeth - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
litex-litehyperbus - - succeeded succeeded forked forked forked forked succeeded succeeded
litex-liteiclink - - failed failed failed failed failed failed failed failed
litex-litejesd204b - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
litex-litepcie - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
litex-litesata - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
litex-litescope - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
litex-litesdcard - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
litex-litespi - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
litex-litevideo - - succeeded succeeded forked forked forked forked succeeded succeeded
litex-pythondata-cpu-blackparrot - - succeeded succeeded forked forked forked forked succeeded succeeded
litex-pythondata-cpu-cv32e40p - - succeeded succeeded succeeded succeeded forked forked succeeded succeeded
litex-pythondata-cpu-cv32e41p - - succeeded succeeded forked forked forked forked succeeded succeeded
litex-pythondata-cpu-cva5 - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
litex-pythondata-cpu-cva6 - - succeeded succeeded forked forked forked forked succeeded succeeded
litex-pythondata-cpu-ibex - - succeeded succeeded forked forked - - succeeded succeeded
litex-pythondata-cpu-lm32 - - succeeded succeeded forked forked forked forked succeeded succeeded
litex-pythondata-cpu-marocchino - - succeeded succeeded forked forked forked forked succeeded succeeded
litex-pythondata-cpu-microwatt - - succeeded succeeded forked forked forked forked succeeded succeeded
litex-pythondata-cpu-minerva - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
litex-pythondata-cpu-mor1kx - - succeeded succeeded succeeded succeeded forked forked succeeded succeeded
litex-pythondata-cpu-naxriscv - - succeeded succeeded forked forked forked forked succeeded succeeded
litex-pythondata-cpu-picorv32 - - succeeded succeeded succeeded succeeded forked forked succeeded succeeded
litex-pythondata-cpu-rocket - - succeeded succeeded forked forked forked forked succeeded succeeded
litex-pythondata-cpu-serv - - succeeded succeeded forked forked forked forked succeeded succeeded
litex-pythondata-cpu-vexriscv - - succeeded succeeded forked forked forked forked succeeded succeeded
litex-pythondata-cpu-vexriscv-smp - - succeeded succeeded succeeded succeeded forked forked succeeded succeeded
litex-pythondata-misc-opentitan - - succeeded succeeded forked forked forked forked succeeded succeeded
litex-pythondata-misc-tapcfg - - succeeded succeeded forked forked forked forked succeeded succeeded
litex-pythondata-misc-usb_ohci - - succeeded succeeded succeeded succeeded forked forked succeeded succeeded
litex-pythondata-software-compiler_rt - - succeeded succeeded forked forked forked forked succeeded succeeded
litex-pythondata-software-picolibc - - succeeded succeeded forked forked forked forked succeeded succeeded
migen - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
mot-adms - - succeeded succeeded forked forked forked forked succeeded succeeded
nextpnr - - succeeded succeeded succeeded succeeded succeeded succeeded failed failed
ngspice - - failed failed succeeded succeeded - - failed failed
nngen - - succeeded succeeded forked forked forked forked succeeded succeeded
openfpgaloader - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
openocd - - succeeded succeeded succeeded succeeded failed failed failed failed
prjapicula - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
prjoxide - - succeeded succeeded forked forked forked forked succeeded succeeded
prjtrellis - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
prjxray - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
pycapnp - - failed failed failed failed failed failed failed failed
pyfda - - failed failed failed failed failed failed failed failed
pyrtl - - failed failed failed failed failed failed failed failed
pysat - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
python-cmake-build-extension - - succeeded succeeded forked forked forked forked succeeded succeeded
python-crc - - succeeded succeeded succeeded succeeded forked forked succeeded succeeded
python-crcmod - - succeeded succeeded forked forked forked forked succeeded succeeded
python-fpga-interchange - - succeeded succeeded succeeded succeeded forked forked succeeded succeeded
python-importlib-resources - - succeeded succeeded - - - - - -
python-okonomiyaki - - succeeded succeeded forked forked forked forked succeeded succeeded
python-orderedmutidict - - succeeded succeeded forked forked forked forked succeeded succeeded
python-platformio - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
python-pygraphviz - - succeeded succeeded - - - - - -
python-simplesat - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
python-textx - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
python-xdot - - succeeded succeeded - - - - - -
python-zipfile2 - - succeeded succeeded forked forked forked forked succeeded succeeded
python-zipp - - succeeded succeeded - - - - - -
pytooling - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
pyvcd - - succeeded succeeded succeeded succeeded forked forked succeeded succeeded
pyverilog - - succeeded succeeded forked forked forked forked succeeded succeeded
pyvhdlmodel - - succeeded succeeded failed failed failed failed failed failed
rapidwright - - succeeded succeeded succeeded succeeded failed failed failed failed
rapidyaml - - succeeded succeeded forked forked forked forked succeeded succeeded
schemdraw - - succeeded succeeded forked forked forked forked succeeded succeeded
silice - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
surelog - - failed failed failed failed failed failed failed failed
sv-lang - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
symbiyosys - - succeeded succeeded forked forked forked forked succeeded succeeded
trilinos - - canceled canceled forked forked forked forked canceled canceled
uhdm - - failed failed failed failed failed failed failed failed
vcdvcd - - succeeded succeeded - - succeeded succeeded succeeded succeeded
verible - - failed failed failed failed failed failed failed failed
verilator - - failed failed failed failed failed failed failed failed
veriloggen - - succeeded succeeded forked forked forked forked succeeded succeeded
vtr - - failed failed succeeded succeeded succeeded succeeded succeeded succeeded
wal - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
wavedrompy - - succeeded succeeded forked forked forked forked succeeded succeeded
xdm - - succeeded succeeded forked forked forked forked succeeded succeeded
xyce - - succeeded succeeded forked forked forked forked failed failed
yosys - - succeeded succeeded succeeded succeeded succeeded succeeded succeeded succeeded
Possible build states importing - Package sources are being imported into Copr DistGit.
pending - Build is waiting in queue for a backend worker.
starting - Backend worker is trying to acquire a builder machine.
running - Build in progress.
succeeded - Successfully built.
forked - Build has been forked from another build.
skipped - This package was skipped, see the reason for each chroot separately.
failed - Build failed. See logs for more details.
canceled - The build has been cancelled manually.
waiting - Task is waiting for something else to finish.