rezso/VLSI

Project ID: 47112

Project Packages

Name Last Build Version Last Build Submitted Last Build Status Automatic Build Actions
abseil-cpp-compat 20230125.1-1 1 year, 2 months ago succeeded Disabled -
bliss 0.77-3 1 year, 5 months ago succeeded Disabled -
boost1.78 1.78.0-1 1 year, 2 months ago succeeded Disabled -
circuit-training 0.0.2-20240509.0.git28e1fdf9 9 days ago failed Disabled -
cliquer 1.22-2.el8 1 year, 10 months ago succeeded Disabled -
coin-or-Cbc 2.10.5-8 1 year, 10 months ago succeeded Disabled -
coin-or-Cgl 0.60.3-6 1 year, 10 months ago succeeded Disabled -
coin-or-Clp 1.17.6-7 1 year, 10 months ago succeeded Disabled -
coin-or-CoinUtils 2.11.4-6.fc36 1 year, 10 months ago succeeded Disabled -
coin-or-Data-miplib3 1.2.8-5.fc36 1 year, 10 months ago succeeded Disabled -
coin-or-Data-Netlib 1.2.9-5.fc36 1 year, 10 months ago succeeded Disabled -
coin-or-DyLP 1.10.4-7.fc36 1 year, 10 months ago succeeded Disabled -
coin-or-lemon 1.3.1-24.fc36 1 year, 10 months ago succeeded Disabled -
coin-or-Osi 0.108.6-5.fc36 1 year, 10 months ago succeeded Disabled -
coin-or-Sample 1.2.12-5.fc36 1 year, 10 months ago succeeded Disabled -
coin-or-Vol 1.5.4-7.fc36 1 year, 10 months ago succeeded Disabled -
cudd 3.0.0-2 1 year, 10 months ago succeeded Disabled -
cu-gr 1.1-20210112.4.git1632b4b4 2 months ago succeeded Disabled -
cvc 1.1.5-20230508.1.git8a6ce534 10 months ago succeeded Disabled -
def 5.8-20201214.1.git0b46cdb8 1 year, 10 months ago succeeded Disabled -
dr-cu 4.1.1-20210427.4.git3d81988d 2 months ago succeeded Disabled -
dreamplace 3.0.0-20220827.0.git4f80d9b3 1 year, 7 months ago failed Disabled -
efabless-open-pdks 1.0.483-20240508.0.git5ff733db 3 days ago succeeded Disabled -
glpk 5.0-4.fc36 1 year, 10 months ago succeeded Disabled -
klayout 0.29.1-20240503.0 15 days ago succeeded Disabled -
lef 5.8-20201214.1.git741f6ebc 1 year, 10 months ago succeeded Disabled -
libiodbc 3.52.15-1.fc37 1 year, 10 months ago succeeded Disabled -
lpsolve 5.5.2.11-2 1 year, 10 months ago succeeded Disabled -
magic 8.3.483-20240513.0.git291ba962 4 days ago succeeded Disabled -
mp 3.1.0-35.20200303git7fd4828.el8 1 year, 10 months ago succeeded Disabled -
nauty 2.7.1-5.el8 1 year, 10 months ago succeeded Disabled -
netgen 1.5.276-20240516.0.gitbf4112db 2 days ago failed Disabled -
openlane 2024.01.12-20240306.0.gita663df28 2 months ago failed Disabled -
open-pdk-globalfoundries 0.0.0-20230531.0.gitde3240d7 11 months ago succeeded Disabled -
open-pdk-skywater 0.0.0-20230529.1.git7198cf64 10 months ago succeeded Disabled -
openroad 2021.03.09-20240327.0.git69430cdd a month ago failed Disabled -
opensta 2.2.0-20240422.0.gitf8a9e177 27 days ago failed Disabled -
opentimer 2.1.0-20221116.0.gita57d03b3 1 year, 6 months ago succeeded Disabled -
or-tools 9.7-20230804.0.gited8db909 9 months ago failed Disabled -
padring 0-20210731.1.gitb2a64abc 1 year, 10 months ago succeeded Disabled -
pcb 4.3.0-20230203.0.git9dea9f5a 1 year, 3 months ago succeeded Disabled -
protobuf-compat 3.19.4-6 1 year, 5 months ago succeeded Disabled -
python-dataclasses-json 0.5.6-3 10 months ago succeeded Disabled -
python-kivy 2.2.1-1 10 months ago succeeded Disabled -
python-kivy-garden 0.1.5-2 10 months ago succeeded Disabled -
python-libparse 0.3.1-20240415.0.gitcec8b6df a month ago succeeded Disabled -
python-marshmallow 3.13.0-1 1 year, 10 months ago succeeded Disabled -
python-marshmallow-enum 1.5.1-7 1 year, 10 months ago succeeded Disabled -
python-mypy_extensions 0.4.3-7.fc35 1 year, 10 months ago succeeded Disabled -
python-typing-inspect 0.7.1-1 1 year, 10 months ago succeeded Disabled -
PyYAML 6.0-3 1 year, 10 months ago succeeded Disabled -
qflow 1.4.103-20240504.0.gitc270a66d 14 days ago succeeded Disabled -
scip 802-20221121.0.git1929dc28 1 year, 5 months ago succeeded Disabled -
siliconcompiler 0.13.1-20230926.0.gitd7463551 7 months ago failed Disabled -
spdlog 1.12.0-2.1 9 months ago succeeded Disabled -
tcllib 1.19-3 1 year, 10 months ago succeeded Disabled -
xschem 3.4.4-20240425.0.git5a4b2cc6 22 days ago failed Disabled -
Possible build states importing - Package sources are being imported into Copr DistGit.
pending - Build is waiting in queue for a backend worker.
starting - Backend worker is trying to acquire a builder machine.
running - Build in progress.
succeeded - Successfully built.
forked - Build has been forked from another build.
skipped - This package was skipped, see the reason for each chroot separately.
failed - Build failed. See logs for more details.
canceled - The build has been cancelled manually.
waiting - Task is waiting for something else to finish.