rezso/HDL

Project ID: 46761

Project Packages

Name Last Build Version Last Build Submitted Last Build Status Automatic Build Actions
abc 1.02-20240416.0.git8a174ee8 6 days ago succeeded Disabled -
amaranth 0.5.0-20240419.0.gitd2b2c9b0 6 days ago succeeded Disabled -
avr-binutils 1:2.42-20240129.1 2 months ago succeeded Disabled -
avr-gcc 1:13.1.0-20230426.0 11 months ago succeeded Disabled -
avr-gcc-8 1:8.5.0-20210514.0.giteafe83f2 9 months ago succeeded Disabled -
avr-libc 2.1.0-20240421.0.gitc13e2394 4 days ago succeeded Disabled -
bigspicy 0.0.1-20221212.0.gitb1ae6d72 1 year, 4 months ago succeeded Disabled -
blt 4.0-20220625.1.git08570046 1 year, 9 months ago succeeded Disabled -
capnproto 0.9.1-2 1 year, 9 months ago succeeded Disabled -
capnproto-compat 0.10.3-1 10 months ago succeeded Disabled -
circt 1.49.0-20230801.0 7 months ago succeeded Disabled -
cocotb 1.8.1-20240416.0.gitccbdd935 6 days ago succeeded Disabled -
corsair 1.0.4-20230426.0.git14dc5d40 a year ago succeeded Disabled -
cross-linux-gnu-binutils 2.42-20240129.0 2 months ago succeeded Disabled -
cross-linux-gnu-gcc 13.2.0-20230727.2 3 months ago succeeded Disabled -
cross-linux-gnu-glibc 2.38-20230731.0 3 months ago succeeded Disabled -
cross-linux-gnu-kernel-headers 6.8.0-20240310.0 a month ago succeeded Disabled -
cross-linux-gnu-musl 1.2.5-20240314.0.gite3b0ace5 15 days ago succeeded Disabled -
cross-linux-gnu-picolibc 1.8.6-20240417.0.git8e5704ce a day ago succeeded Disabled -
cross-unknown-elf-binutils 2.42-20240129.0 2 months ago succeeded Disabled -
cross-unknown-elf-gcc 13.2.0-20230727.0 8 months ago succeeded Disabled -
cross-unknown-elf-musl 1.2.5-20240314.0.gite3b0ace5 15 days ago succeeded Disabled -
cross-unknown-elf-newlib 4.3.0-20230321.0.gitfe2545e9 1 year, 30 days ago succeeded Disabled -
cross-unknown-elf-picolibc 1.8.6-20240417.0.git8e5704ce a day ago succeeded Disabled -
edalize 0.4.1-20230106.0.git3577d3f1 1 year, 3 months ago succeeded Disabled -
fasm 0.0.2-20220725.3.gitffafe821 5 months ago succeeded Disabled -
flashrom 1.4.0-20230922.0.git9ccbf1cf 7 months ago succeeded Disabled -
fpga-interchange-schema 0.0-20220704.4.gitc985b464 6 months ago succeeded Disabled -
fusesoc 2.3-20240319.0.git23c05921 a month ago succeeded Disabled -
gdbwave 0-20220220.2.gitfd59fbb8 1 year, 7 months ago succeeded Disabled -
ghdl 5.0.0-20240419.0.git98e90ffe 6 days ago succeeded Disabled -
ghdl-yosys-plugin 0-20231013.3.git0c4740a4 a month ago succeeded Disabled -
gtkwave 3.4.0-20240424.0.gitdbd99a89 a day ago succeeded Disabled -
hidapi 0.9.0-2 1 year, 7 months ago succeeded Disabled -
icestorm 0-20231212.0.git1a40ae75 4 months ago succeeded Disabled -
iverilog 13.0-20240421.0.git615a01c6 a day ago succeeded Disabled -
jimtcl 0.82-20240402.0.git9d8ccde6 20 days ago succeeded Disabled -
json11 1.0.0-10 9 months ago succeeded Disabled -
libgpiod 2.0.1-1 1 year, 8 days ago succeeded Disabled -
libgpiod-compat 1.6.4-2 9 months ago succeeded Disabled -
libjaylink 0.3.1-20240403.0.git5ca7daed 17 days ago succeeded Disabled -
litex 2023.12-20240419.0.gite4dc6820 6 days ago succeeded Disabled -
litex-boards 2023.12-20240419.0.gitaaab2dcf 6 days ago succeeded Disabled -
litex-litedram 2023.12-20240325.0.git7dacfaf6 a month ago succeeded Disabled -
litex-liteeth 2023.12-20240414.0.gite209a1c6 6 days ago succeeded Disabled -
litex-litehyperbus 2022.04-20220706.2.git76454e4c 9 months ago succeeded Disabled -
litex-liteiclink 2023.12-20240118.0.gitf381adfd 3 months ago failed Disabled -
litex-litejesd204b 2023.12-20240101.0.git6231429b 3 months ago succeeded Disabled -
litex-litepcie 2023.12-20240419.0.git5b45dbf2 2 hours ago succeeded Disabled -
litex-litesata 2023.12-20240403.0.git4dc440d1 22 days ago succeeded Disabled -
litex-litescope 2023.12-20240101.0.git976656b5 3 months ago succeeded Disabled -
litex-litesdcard 2023.12-20240101.0.git1e559537 3 months ago succeeded Disabled -
litex-litespi 2023.12-20240101.0.gita18df94a 3 months ago succeeded Disabled -
litex-litevideo 2020.08-20200411.1.git41f30143 1 year, 7 months ago succeeded Disabled -
litex-pythondata-cpu-blackparrot 2022.08-20210926.3.gitba50883f 9 months ago succeeded Disabled -
litex-pythondata-cpu-cv32e40p 2022.08-20220530.3.gitc897dc0a 9 months ago succeeded Disabled -
litex-pythondata-cpu-cv32e41p 2022.08-20220530.3.gita48ddd9f 9 months ago succeeded Disabled -
litex-pythondata-cpu-cva5 2022.12-20220530.3.gitea1075d6 9 months ago succeeded Disabled -
litex-pythondata-cpu-cva6 2022.12-20221108.2.git13cbe445 9 months ago succeeded Disabled -
litex-pythondata-cpu-ibex 2022.08-20221108.1.git2bccf45b 9 months ago succeeded Disabled -
litex-pythondata-cpu-lm32 2022.08-20220530.3.git594f2068 9 months ago succeeded Disabled -
litex-pythondata-cpu-marocchino 2022.08-20220530.3.gitae64a188 9 months ago succeeded Disabled -
litex-pythondata-cpu-microwatt 2022.12-20221025.2.gitc69953af 9 months ago succeeded Disabled -
litex-pythondata-cpu-minerva 2022.12-20220530.3.gitfb0a0423 9 months ago succeeded Disabled -
litex-pythondata-cpu-mor1kx 2022.08-20220530.3.git92628ec3 9 months ago succeeded Disabled -
litex-pythondata-cpu-naxriscv 2023.12-20240228.0.gitc5055e7b a month ago succeeded Disabled -
litex-pythondata-cpu-picorv32 2022.08-20220530.3.gita6e8fd11 9 months ago succeeded Disabled -
litex-pythondata-cpu-rocket 2023.12-20240219.0.git55d7e429 a month ago succeeded Disabled -
litex-pythondata-cpu-serv 2022.12-20221016.1.gitcdede3b5 9 months ago succeeded Disabled -
litex-pythondata-cpu-vexriscv 2023.12-20240425.0.git1979a644 2 hours ago succeeded Disabled -
litex-pythondata-cpu-vexriscv-smp 2023.12-20240214.0.gitf1f634e8 2 months ago succeeded Disabled -
litex-pythondata-misc-opentitan 0-20221109.0.git8f406b4d 1 year, 5 months ago succeeded Disabled -
litex-pythondata-misc-tapcfg 2022.08-20220530.3.gitfbcb0242 9 months ago succeeded Disabled -
litex-pythondata-misc-usb_ohci 2022.08-20210601.3.git1f9c7731 9 months ago succeeded Disabled -
litex-pythondata-software-compiler_rt 2022.08-20201104.4.gitfcb03245 9 months ago succeeded Disabled -
litex-pythondata-software-picolibc 2023.04-20221106.1.gita5e11229 9 months ago succeeded Disabled -
migen 0.9.2-20231219.0.git50934ad1 4 months ago succeeded Disabled -
mot-adms 2.3.7-20200818.1.git06e1a6cb 1 year, 9 months ago succeeded Disabled -
nextpnr 1:0.7-20240419.0.gitedcafcf0 4 days ago succeeded Disabled -
ngspice 41-20230814.0.git2275fb85 8 months ago succeeded Disabled -
nngen 1.3.4-20231017.0.gitd5ac0cc9 6 months ago succeeded Disabled -
openfpgaloader 0.12.1-20240421.0.git4fe3d7cc 3 days ago succeeded Disabled -
openocd 0.12.0-20240407.0.git04154af5 14 days ago succeeded Disabled -
prjapicula 0.12-20240331.0.git91807b03 22 days ago succeeded Disabled -
prjoxide 0-20240105.0.git30712ff9 3 months ago succeeded Disabled -
prjtrellis 1.4-20240129.1.git2dab0095 2 months ago succeeded Disabled -
prjxray 0.0.1-20240413.0.gitd51e111e 6 days ago succeeded Disabled -
pycapnp 2.0.0-20240411.0.git78dd54e6 13 days ago succeeded Disabled -
pyfda 0.9.01-20240410.0.git36d8e632 14 days ago failed Disabled -
pyrtl 0.10.1-20240320.0.git52c93d1d a month ago failed Disabled -
pysat 0.1.7-20240418.0.git97505cb7 a day ago succeeded Disabled -
python-cmake-build-extension 0.5.1-3 9 months ago succeeded Disabled -
python-crc 6.1.1-1 2 months ago succeeded Disabled -
python-crcmod 1.7-3 9 months ago succeeded Disabled -
python-fpga-interchange 0.0.20-20221019.2.git04a02101 9 months ago succeeded Disabled -
python-importlib-resources 4.1.1-1 1 year, 8 months ago succeeded Disabled -
python-okonomiyaki 1.3.2-3 9 months ago succeeded Disabled -
python-orderedmutidict 1.0.1-20220313.1.gitd3912b85 9 months ago succeeded Disabled -
python-platformio 6.1.15-20240424.0.gitcdac7d49 a day ago succeeded Disabled -
python-pygraphviz 1.6-1 1 year, 7 months ago succeeded Disabled -
python-simplesat 0.8.2-20221121.2.git50e00f34 9 months ago succeeded Disabled -
python-textx 4.0.1-20231119.0.gitb11715c0 4 months ago failed Disabled -
python-xdot 1.1-7 1 year, 9 months ago succeeded Disabled -
python-zipfile2 0.0.12-3 9 months ago succeeded Disabled -
python-zipp 0.5.1-3 1 year, 8 months ago succeeded Disabled -
pytooling 2.1.0-3 9 months ago succeeded Disabled -
pyvcd 0.4.0-20230619.1.gitf6136317 9 months ago succeeded Disabled -
pyverilog 1.3.0-20221223.1.git81838bc4 9 months ago succeeded Disabled -
pyvhdlmodel 0.27.1-20230707.0.git4a724b65 9 months ago succeeded Disabled -
rapidwright 2023.2.2-20240425.0.gitb9cdb4f6 2 hours ago failed Disabled -
rapidyaml 0.1.0-20210323.2.git7d63ba85 1 year, 9 months ago succeeded Disabled -
schemdraw 0.16-20231229.0.git859efd80 3 months ago succeeded Disabled -
silice 0-20240404.0.git0db7d7a1 14 days ago succeeded Disabled -
surelog 1.82-20240221.0.git2c4aba06 29 days ago failed Disabled -
sv-lang 5.0-20240327.0.gitd0928094 28 days ago failed Disabled -
symbiyosys 0-20220112.1.gitf5a41e5a 1 year, 9 months ago succeeded Disabled -
trilinos 14.0.0-20230422.0.gite7012f3f a year ago canceled Disabled -
uhdm 1.82-20240113.0.gitb918b5d5 3 months ago succeeded Disabled -
vcdvcd 2.3.5-20231204.0.gitdbc1c963 3 months ago succeeded Disabled -
verible 0.0-20240420.0.gitec69caeb 3 days ago succeeded Disabled -
verilator 5.025-20240419.0.git26a57295 6 days ago succeeded Disabled -
veriloggen 2.3.0-20230809.0.git448975b4 7 months ago succeeded Disabled -
vtr 8.1.0-20240404.0.git44e7f028 18 days ago failed Disabled -
wal 0.8.0-20240425.0.git70e7720d 2 hours ago succeeded Disabled -
wavedrompy 2.0.3-20220927.1.git82a0e75c 5 months ago succeeded Disabled -
xdm 2.7.0-20230811.0.gitb6394a02 8 months ago succeeded Disabled -
xyce 7.6.0-20230607.0.git28d88037 10 months ago failed Disabled -
yosys 0.40-20240417.0.git171577f9 4 days ago succeeded Disabled -
Possible build states importing - Package sources are being imported into Copr DistGit.
pending - Build is waiting in queue for a backend worker.
starting - Backend worker is trying to acquire a builder machine.
running - Build in progress.
succeeded - Successfully built.
forked - Build has been forked from another build.
skipped - This package was skipped, see the reason for each chroot separately.
failed - Build failed. See logs for more details.
canceled - The build has been cancelled manually.
waiting - Task is waiting for something else to finish.