rezso/VLSI

Project ID: 47112

Description

OpenSource VLSI Tools

Repository also holds related shared libraries.

All packages are latest branches updated on regular weekly basis.

Packages are properly elaborated to use available system wide shared libraries avoiding static builtins.

The automated actions are governed transparently from here: copr-packages

Sample usage of this repository with Dockerfiles is provided.

Installation Instructions

Install steps

(1) Enable this repository:

dnf copr enable rezso/VLSI

(2) Enable dependent HDL repository:

dnf copr enable rezso/HDL

(3) Now ready to install:

# for openlane: dnf install openlane openlane-designs

Install optional:

(1) Enable dependent ML repository:

dnf copr enable rezso/ML

(2) Enable CUDA and drivers by following ML install step #2.

(3) Now ready to install:

dnf install dreamplace circuit-training

See Packages section for complete list and names.

Remarks

For more interests there is also a dedicated HDL repository for hdl related tools.

Active Releases

The following unofficial repositories are provided as-is by owner of this project. Contact the owner directly for bugs or issues (IE: not bugzilla).

Release Architectures Repo Download
EPEL 8 aarch64 (8574)*, ppc64le (8807)*, x86_64 (12291)* EPEL 8 (85 downloads)
EPEL 9 aarch64 (8306)*, ppc64le (8497)*, x86_64 (8529)* EPEL 9 (39 downloads)
Fedora 37 aarch64 (5416)*, ppc64le (5408)*, x86_64 (5514)* Fedora 37 (0 downloads)
Fedora 38 aarch64 (2369)*, ppc64le (2424)*, x86_64 (2982)* Fedora 38 (42 downloads)
Fedora 39 aarch64 (915)*, ppc64le (946)*, x86_64 (1354)* Fedora 39 (25 downloads)
Fedora 40 aarch64 (58)*, ppc64le (13)*, x86_64 (13)* Fedora 40 (1 downloads)
Fedora rawhide aarch64 (5966)*, ppc64le (6051)*, x86_64 (9951)* Fedora rawhide (76 downloads)

* Total number of packages downloaded in the last seven days.

External Repository List

The following repositories are accessible during builds