rezso/HDL

Project ID: 46761

Description

Hardware Description Language Tools related packages for RHEL / Fedora

Repository also holds related shared libraries.

See Packages section for complete list and names.

All packages are latest branches updated on regular weekly basis.

The automated actions are governed transparently from here: copr-packages

Sample usage of this repository with Dockerfiles is provided.

Remarks

For more interests there is also a dedicated VLSI repository for silicon related tools.

Installation Instructions

Install steps

(1) Enable this repository:

dnf copr enable rezso/HDL

(2) Now ready to install things:

dnf install yosys nextpnr

Toolchain install steps

Matrix of available libc flavours:

+---------+-----------------------------------------------------+ | | {LIBC} | +---------+--------+--------+--------+--------------------------+ | {ARCH} | -unknown-elf- | -linux-gnu- | +---------+--------+--------+--------+--------+--------+--------+ | | newlib | musl |picolibc| glibc | musl |picolibc| +---------+--------+--------+--------+--------+--------+--------+ | aarch64 | | | | Y | O | O | +---------+--------+--------+--------+--------+--------+--------+ | ppc64le | | | | Y | O | O | +---------+--------+--------+--------+--------+--------+--------+ | lm32 | Y | | | | | | +---------+--------+--------+--------+--------+--------+--------+ | or1k | Y | O | | Y | | | +---------+--------+--------+--------+--------+--------+--------+ | riscv32 | | | | Y | | | +---------+--------+--------+--------+--------+--------+--------+ | riscv64 | Y | O | O | Y | | | +---------+--------+--------+--------+--------+--------+--------+ | sh | Y | | | | | | +---------+--------+--------+--------+--------+--------+--------+ | xtensa | | | Y | | | | +---------+--------+--------+--------+--------+--------+--------+ # Y = default # O = optional

(1) Assure previous deletion:

dnf remove riscv64-*

(2) Choose newlib libc flavour for riscv64 and install:

dnf install riscv64-unknown-elf-gcc riscv64-unknown-elf-gcc-c++ riscv64-unknown-elf-newlib

Active Releases

The following unofficial repositories are provided as-is by owner of this project. Contact the owner directly for bugs or issues (IE: not bugzilla).

Release Architectures Repo Download
EPEL 8 aarch64 (7595)*, ppc64le (7731)*, x86_64 (8709)* EPEL 8 (146 downloads)
EPEL 9 aarch64 (7291)*, ppc64le (7515)*, x86_64 (8671)* EPEL 9 (78 downloads)
Fedora 37 aarch64 (6554)*, ppc64le (6558)*, x86_64 (8945)* Fedora 37 (0 downloads)
Fedora 38 aarch64 (3608)*, ppc64le (3579)*, x86_64 (7015)* Fedora 38 (52 downloads)
Fedora 39 aarch64 (1793)*, ppc64le (1623)*, x86_64 (4468)* Fedora 39 (57 downloads)
Fedora 40 aarch64 (180)*, ppc64le (140)*, x86_64 (134)* Fedora 40 (8 downloads)
Fedora rawhide aarch64 (8033)*, ppc64le (8362)*, x86_64 (17192)* Fedora rawhide (112 downloads)

* Total number of downloaded packages.

External Repository List

The following repositories are accessible during builds