rezso/HDL

Project ID: 46761

Project Packages

Name Last Build Version Last Build Submitted Last Build Status Automatic Build Actions
abc 1.02-20240717.0.gitd7a623c1 7 days ago succeeded Disabled -
amaranth 0.6.0-20240722.0.gitbf98136e 2 days ago succeeded Disabled -
avr-binutils 1:2.42-20240129.1 5 months ago succeeded Disabled -
avr-gcc 1:13.1.0-20230426.0 1 year, 2 months ago succeeded Disabled -
avr-gcc-8 1:8.5.0-20210514.0.giteafe83f2 1 year, 15 days ago succeeded Disabled -
avr-libc 2.2.1-20240718.0.gita08ecb6f 7 days ago succeeded Disabled -
bigspicy 0.0.1-20221212.0.gitb1ae6d72 1 year, 7 months ago succeeded Disabled -
blt 4.0-20220625.1.git08570046 2 years ago succeeded Disabled -
capnproto 0.9.1-2 2 years ago succeeded Disabled -
capnproto-compat 0.10.3-1 1 year, 1 month ago succeeded Disabled -
circt 1.49.0-20230801.0 10 months ago succeeded Disabled -
cocotb 1.9.0-20240718.0.gitd545d6ec 7 days ago succeeded Disabled -
corsair 1.0.4-20230426.0.git14dc5d40 1 year, 2 months ago succeeded Disabled -
cross-linux-gnu-binutils 2.42-20240129.0 5 months ago succeeded Disabled -
cross-linux-gnu-gcc 14.1.0-20240507.0 2 months ago succeeded Disabled -
cross-linux-gnu-glibc 2.40-20240721.0 2 days ago succeeded Disabled -
cross-linux-gnu-kernel-headers 6.10.0-20240714.0 11 days ago succeeded Disabled -
cross-linux-gnu-musl 1.2.5-20240705.0.gitdd1e63c3 a day ago succeeded Disabled -
cross-linux-gnu-picolibc 1.8.6-20240715.0.gitda6746fc 10 days ago failed Disabled -
cross-unknown-elf-binutils 2.42-20240129.0 5 months ago succeeded Disabled -
cross-unknown-elf-gcc 14.1.0-20240507.0 2 months ago succeeded Disabled -
cross-unknown-elf-musl 1.2.5-20240705.0.gitdd1e63c3 a day ago succeeded Disabled -
cross-unknown-elf-newlib 4.3.0-20230321.0.gitfe2545e9 1 year, 3 months ago succeeded Disabled -
cross-unknown-elf-picolibc 1.8.6-20240722.0.git7951cb7f 2 days ago succeeded Disabled -
edalize 0.4.1-20230106.0.git3577d3f1 1 year, 6 months ago succeeded Disabled -
fasm 0.0.2-20220725.3.gitffafe821 8 months ago succeeded Disabled -
flashrom 1.4.0-20230922.0.git9ccbf1cf 10 months ago succeeded Disabled -
fpga-interchange-schema 0.0-20220704.4.gitc985b464 9 months ago succeeded Disabled -
fusesoc 2.3-20240526.0.git6b794fb8 a month ago succeeded Disabled -
gdbwave 0-20220220.2.gitfd59fbb8 1 year, 10 months ago succeeded Disabled -
ghdl 5.0.0-20240706.0.git918a63da 19 days ago failed Disabled -
ghdl-yosys-plugin 0-20240629.0.git511412f9 23 days ago succeeded Disabled -
gtkwave 3.4.0-20240718.0.git82d06651 7 days ago succeeded Disabled -
hidapi 0.9.0-2 1 year, 10 months ago succeeded Disabled -
icestorm 0-20240624.0.git738af822 27 days ago succeeded Disabled -
iverilog 13.0-20240721.0.git676b36e4 a day ago succeeded Disabled -
jimtcl 0.82-20240623.0.git9607660d 27 days ago failed Disabled -
json11 1.0.0-10 1 year, 29 days ago succeeded Disabled -
libgpiod 2.0.1-1 1 year, 3 months ago succeeded Disabled -
libgpiod-compat 1.6.4-2 a month ago succeeded Disabled -
libjaylink 0.3.1-20240704.0.gitfed1ad39 21 days ago succeeded Disabled -
litex 2024.04-20240705.0.git0db650ac 20 days ago failed Disabled -
litex-boards 2024.04-20240722.0.git81209b9f 2 days ago succeeded Disabled -
litex-litedram 2024.04-20240619.0.git5ece0901 a month ago succeeded Disabled -
litex-liteeth 2024.04-20240710.0.git583137ea 15 days ago succeeded Disabled -
litex-litehyperbus 2022.04-20220706.2.git76454e4c 1 year, 20 days ago succeeded Disabled -
litex-liteiclink 2024.04-20240605.0.gite0af9e57 a month ago failed Disabled -
litex-litejesd204b 2023.12-20240101.0.git6231429b 6 months ago succeeded Disabled -
litex-litepcie 2024.04-20240722.0.git21da327b a day ago succeeded Disabled -
litex-litesata 2023.12-20240403.0.git4dc440d1 3 months ago succeeded Disabled -
litex-litescope 2024.04-20240725.0.git74ddd945 22 hours ago succeeded Disabled -
litex-litesdcard 2023.12-20240528.0.gitb243b3be a month ago succeeded Disabled -
litex-litespi 2023.12-20240101.0.gita18df94a 6 months ago succeeded Disabled -
litex-litevideo 2020.08-20200411.1.git41f30143 1 year, 10 months ago succeeded Disabled -
litex-pythondata-cpu-blackparrot 2022.08-20210926.3.gitba50883f 1 year, 20 days ago succeeded Disabled -
litex-pythondata-cpu-cv32e40p 2022.08-20220530.3.gitc897dc0a 1 year, 20 days ago succeeded Disabled -
litex-pythondata-cpu-cv32e41p 2022.08-20220530.3.gita48ddd9f 1 year, 20 days ago succeeded Disabled -
litex-pythondata-cpu-cva5 2022.12-20220530.3.gitea1075d6 1 year, 20 days ago succeeded Disabled -
litex-pythondata-cpu-cva6 2022.12-20221108.2.git13cbe445 1 year, 20 days ago succeeded Disabled -
litex-pythondata-cpu-ibex 2022.08-20221108.1.git2bccf45b 1 year, 20 days ago succeeded Disabled -
litex-pythondata-cpu-lm32 2022.08-20220530.3.git594f2068 1 year, 20 days ago succeeded Disabled -
litex-pythondata-cpu-marocchino 2022.08-20220530.3.gitae64a188 1 year, 20 days ago succeeded Disabled -
litex-pythondata-cpu-microwatt 2022.12-20221025.2.gitc69953af 1 year, 20 days ago succeeded Disabled -
litex-pythondata-cpu-minerva 2022.12-20220530.3.gitfb0a0423 1 year, 20 days ago succeeded Disabled -
litex-pythondata-cpu-mor1kx 2022.08-20220530.3.git92628ec3 1 year, 20 days ago succeeded Disabled -
litex-pythondata-cpu-naxriscv 2024.04-20240710.0.gitb0109117 15 days ago succeeded Disabled -
litex-pythondata-cpu-picorv32 2022.08-20220530.3.gita6e8fd11 1 year, 20 days ago succeeded Disabled -
litex-pythondata-cpu-rocket 2023.12-20240219.0.git55d7e429 4 months ago succeeded Disabled -
litex-pythondata-cpu-serv 2022.12-20221016.1.gitcdede3b5 1 year, 20 days ago succeeded Disabled -
litex-pythondata-cpu-vexriscv 2023.12-20240425.0.git1979a644 2 months ago succeeded Disabled -
litex-pythondata-cpu-vexriscv-smp 2023.12-20240604.0.git1f8f8bb4 a month ago succeeded Disabled -
litex-pythondata-misc-opentitan 0-20221109.0.git8f406b4d 1 year, 8 months ago succeeded Disabled -
litex-pythondata-misc-tapcfg 2022.08-20220530.3.gitfbcb0242 1 year, 20 days ago succeeded Disabled -
litex-pythondata-misc-usb_ohci 2022.08-20210601.3.git1f9c7731 1 year, 20 days ago succeeded Disabled -
litex-pythondata-software-compiler_rt 2022.08-20201104.4.gitfcb03245 1 year, 20 days ago succeeded Disabled -
litex-pythondata-software-picolibc 2023.04-20221106.1.gita5e11229 1 year, 20 days ago succeeded Disabled -
migen 0.9.2-20240705.0.git14e4bc09 20 days ago failed Disabled -
mot-adms 2.3.7-20200818.1.git06e1a6cb 2 years ago succeeded Disabled -
nextpnr 1:0.7-20240625.0.git2e8280a9 30 days ago failed Disabled -
ngspice 42-20240622.0.git33939d3f a month ago failed Disabled -
nngen 1.3.4-20231017.0.gitd5ac0cc9 9 months ago succeeded Disabled -
openfpgaloader 0.12.1-20240713.0.gita3952463 12 days ago succeeded Disabled -
openocd 0.12.0-20240718.0.git6554d176 2 days ago succeeded Disabled -
prjapicula 0.12-20240719.0.gitfc1121f3 2 days ago succeeded Disabled -
prjoxide 0-20240105.0.git30712ff9 6 months ago succeeded Disabled -
prjtrellis 1.4-20240129.2.git2dab0095 a month ago succeeded Disabled -
prjxray 0.0.1-20240622.0.git8b1c3ef5 27 days ago failed Disabled -
pycapnp 2.0.0-20240411.0.git78dd54e6 3 months ago succeeded Disabled -
pyfda 0.9.01-20240410.0.git36d8e632 3 months ago failed Disabled -
pyrtl 0.11.1-20240611.0.git0424a705 a month ago failed Disabled -
pysat 0.1.7-20240512.0.gitdb89c1b8 2 months ago succeeded Disabled -
python-cmake-build-extension 0.5.1-3 1 year, 18 days ago succeeded Disabled -
python-crc 6.1.1-1 5 months ago succeeded Disabled -
python-crcmod 1.7-3 1 year, 18 days ago succeeded Disabled -
python-fpga-interchange 0.0.20-20221019.3.git04a02101 a month ago succeeded Disabled -
python-importlib-resources 4.1.1-1 1 year, 11 months ago succeeded Disabled -
python-okonomiyaki 1.3.2-3 1 year, 18 days ago succeeded Disabled -
python-orderedmutidict 1.0.1-20220313.2.gitd3912b85 a month ago succeeded Disabled -
python-platformio 6.1.16-20240711.0.gitaa580360 7 days ago succeeded Disabled -
python-pygraphviz 1.6-1 1 year, 10 months ago succeeded Disabled -
python-simplesat 0.8.2-20221121.2.git50e00f34 1 year, 18 days ago succeeded Disabled -
python-textx 4.0.1-20240507.0.git2af2eb4e a month ago failed Disabled -
python-xdot 1.1-7 2 years ago succeeded Disabled -
python-zipfile2 0.0.12-3 1 year, 18 days ago succeeded Disabled -
python-zipp 0.5.1-3 1 year, 11 months ago succeeded Disabled -
pytooling 2.1.0-4 a month ago succeeded Disabled -
pyvcd 0.4.0-20230619.1.gitf6136317 1 year, 20 days ago succeeded Disabled -
pyverilog 1.3.0-20221223.1.git81838bc4 1 year, 20 days ago succeeded Disabled -
pyvhdlmodel 0.27.1-20230707.0.git4a724b65 1 year, 14 days ago succeeded Disabled -
rapidwright 2024.1.1-20240723.0.git8546f5e7 2 days ago succeeded Disabled -
rapidyaml 0.1.0-20210323.2.git7d63ba85 2 years ago succeeded Disabled -
schemdraw 0.16-20231229.0.git859efd80 6 months ago succeeded Disabled -
silice 0-20240715.0.git80980cff 7 days ago succeeded Disabled -
surelog 1.82-20240221.0.git2c4aba06 3 months ago failed Disabled -
sv-lang 6.0-20240618.0.git8e8bbbe8 a month ago failed Disabled -
symbiyosys 0-20220112.1.gitf5a41e5a 2 years ago succeeded Disabled -
trilinos 14.0.0-20230422.0.gite7012f3f 1 year, 3 months ago canceled Disabled -
uhdm 1.83-20240619.0.git0ed54970 a month ago failed Disabled -
vcdvcd 2.3.5-20231204.0.git5daada8b 9 days ago succeeded Disabled -
verible 0.0-20240718.0.gita6f2188a 2 days ago succeeded Disabled -
verilator 5.027-20240724.0.git87d1c10f a day ago succeeded Disabled -
veriloggen 2.3.0-20230809.0.git448975b4 10 months ago succeeded Disabled -
vtr 8.1.0-20240723.0.git3f2b9e8f 2 days ago succeeded Disabled -
wal 0.8.0-20240718.0.gita8400fed 2 days ago succeeded Disabled -
wavedrompy 2.0.3-20220927.1.git82a0e75c 8 months ago succeeded Disabled -
xdm 2.7.0-20230811.0.gitb6394a02 11 months ago succeeded Disabled -
xyce 7.6.0-20230607.0.git28d88037 1 year, 1 month ago failed Disabled -
yosys 0.43-20240719.0.git118b2829 2 days ago succeeded Disabled -
Possible build states importing - Package sources are being imported into Copr DistGit.
pending - Build is waiting in queue for a backend worker.
starting - Backend worker is trying to acquire a builder machine.
running - Build in progress.
succeeded - Successfully built.
forked - Build has been forked from another build.
skipped - This package was skipped, see the reason for each chroot separately.
failed - Build failed. See logs for more details.
canceled - The build has been cancelled manually.
waiting - Task is waiting for something else to finish.