rezso/HDL

Project ID: 46761

Project Packages

Name Last Build Version Last Build Submitted Last Build Status Automatic Build Actions
abc 1.02-20230519.0.gita5a6254d 12 days ago succeeded Disabled -
amaranth 0.3-20230602.0.gita4402b50 2 days ago succeeded Disabled -
avr-binutils 1:2.40-20230114.0 4 months ago succeeded Disabled -
avr-gcc 1:13.1.0-20230426.0 22 days ago succeeded Disabled -
avr-libc 2.1.0-20220706.0.git55e8cac6 10 months ago succeeded Disabled -
bigspicy 0.0.1-20221212.0.gitb1ae6d72 5 months ago succeeded Disabled -
blt 4.0-20220625.1.git08570046 10 months ago succeeded Disabled -
capnproto 0.9.1-2 10 months ago succeeded Disabled -
capnproto-compat 0.10.2-1 6 months ago succeeded Disabled -
cocotb 1.7.2-20230526.0.gitefe8d3a6 5 days ago succeeded Disabled -
corsair 1.0.4-20230426.0.git14dc5d40 a month ago succeeded Disabled -
cross-linux-gnu-binutils 2.40-20230114.0 4 months ago succeeded Disabled -
cross-linux-gnu-gcc 13.1.0-20230426.0 19 days ago succeeded Disabled -
cross-linux-gnu-glibc 2.37-20230428.0 16 days ago succeeded Disabled -
cross-linux-gnu-kernel-headers 6.3.0-20230423.0 22 days ago succeeded Disabled -
cross-linux-gnu-musl 1.2.4-20230502.0.git718f363b 14 days ago succeeded Disabled -
cross-linux-gnu-picolibc 1.8.2-20230603.0.git7ad26f81 19 hours ago succeeded Disabled -
cross-unknown-elf-binutils 2.40-20230114.0 4 months ago succeeded Disabled -
cross-unknown-elf-gcc 13.1.0-20230426.0 19 days ago succeeded Disabled -
cross-unknown-elf-musl 1.2.4-20230502.0.git718f363b 14 days ago succeeded Disabled -
cross-unknown-elf-newlib 4.3.0-20230321.0.gitfe2545e9 2 months ago succeeded Disabled -
cross-unknown-elf-picolibc 1.8.2-20230603.0.git7ad26f81 19 hours ago succeeded Disabled -
edalize 0.4.1-20230106.0.git3577d3f1 4 months ago succeeded Disabled -
fasm 0.0.2-20220725.2.gitffafe821 9 months ago succeeded Disabled -
flashrom 1.4.0-20230531.0.gitc7812231 a day ago succeeded Disabled -
fpga-interchange-schema 0.0-20220704.2.gitc985b464 6 months ago succeeded Disabled -
fusesoc 2.2.1-20230427.1.git40ca3f47 22 days ago succeeded Disabled -
gdbwave 0-20220220.2.gitfd59fbb8 8 months ago succeeded Disabled -
ghdl 4.0.0-20230527.0.git7d32c7b8 4 days ago succeeded Disabled -
ghdl-yosys-plugin 0-20230419.0.git5b64ccfd 22 days ago succeeded Disabled -
gtkwave 4.0.0-20230527.0.git048a5c1a 8 days ago succeeded Disabled -
hidapi 0.9.0-2 9 months ago succeeded Disabled -
icestorm 0-20230218.0.gitd20a5e90 3 months ago succeeded Disabled -
iverilog 13.0-20230601.0.git210ada0a 3 days ago succeeded Disabled -
jimtcl 0.82-20230525.0.git5246daeb 11 days ago succeeded Disabled -
json11 1.0.0-3 10 months ago succeeded Disabled -
libgpiod 2.0.1-1 a month ago succeeded Disabled -
libgpiod-compat 1.6.4-1 a month ago succeeded Disabled -
libjaylink 0.3.1-20230328.0.gitb5df64d6 2 months ago succeeded Disabled -
litex 2023.04-20230530.0.gite5f790f2 2 days ago succeeded Disabled -
litex-boards 2023.04-20230601.0.gitb01abce7 2 days ago succeeded Disabled -
litex-litedram 2023.04-20230525.0.git83a29b19 5 days ago succeeded Disabled -
litex-liteeth 2023.04-20230524.0.gita57178ac 9 days ago succeeded Disabled -
litex-litehyperbus 2022.04-20220706.1.git76454e4c 9 months ago succeeded Disabled -
litex-liteiclink 2022.12-20230507.0.git7d4f94d5 28 days ago succeeded Disabled -
litex-litejesd204b 2022.08-20221206.0.gitec6bf782 5 months ago succeeded Disabled -
litex-litepcie 2023.04-20230525.0.git80902f9a 10 days ago succeeded Disabled -
litex-litesata 2023.04-20230523.0.git8255e3ba 6 days ago succeeded Disabled -
litex-litescope 2022.12-20230227.0.git457f0875 3 months ago succeeded Disabled -
litex-litesdcard 2022.08-20221021.0.git15955d88 7 months ago succeeded Disabled -
litex-litespi 2022.08-20221213.0.git63c815fe 5 months ago succeeded Disabled -
litex-litevideo 2020.08-20200411.1.git41f30143 9 months ago succeeded Disabled -
litex-pythondata-cpu-blackparrot 2020.08-20210926.2.gitba50883f 9 months ago succeeded Disabled -
litex-pythondata-cpu-cv32e40p 2020.08-20220530.2.gitc897dc0a 9 months ago succeeded Disabled -
litex-pythondata-cpu-cv32e41p 0-20220530.2.gita48ddd9f 9 months ago succeeded Disabled -
litex-pythondata-cpu-cva5 0-20220530.2.gitea1075d6 9 months ago succeeded Disabled -
litex-pythondata-cpu-cva6 2022.12-20221108.1.git13cbe445 24 days ago succeeded Disabled -
litex-pythondata-cpu-ibex 2022.08-20221108.0.git2bccf45b 6 months ago succeeded Disabled -
litex-pythondata-cpu-lm32 2020.08-20220530.2.git594f2068 9 months ago succeeded Disabled -
litex-pythondata-cpu-marocchino 0-20220530.2.gitae64a188 9 months ago succeeded Disabled -
litex-pythondata-cpu-microwatt 2022.08-20221025.1.gitc69953af a month ago succeeded Disabled -
litex-pythondata-cpu-minerva 2020.08-20220530.2.gitfb0a0423 9 months ago succeeded Disabled -
litex-pythondata-cpu-mor1kx 2020.08-20220530.2.git92628ec3 9 months ago succeeded Disabled -
litex-pythondata-cpu-naxriscv 2022.12-20230421.0.git64b2fc19 a month ago succeeded Disabled -
litex-pythondata-cpu-picorv32 2020.08-20220530.2.gita6e8fd11 9 months ago succeeded Disabled -
litex-pythondata-cpu-rocket 2022.12-20230321.0.git9adacd3b 2 months ago succeeded Disabled -
litex-pythondata-cpu-serv 2022.08-20221016.0.gitcdede3b5 7 months ago succeeded Disabled -
litex-pythondata-cpu-vexriscv 2022.08-20230222.0.gita36d99ee 3 months ago succeeded Disabled -
litex-pythondata-cpu-vexriscv-smp 2022.12-20230223.0.git98d6db6d 3 months ago succeeded Disabled -
litex-pythondata-misc-opentitan 0-20221109.0.git8f406b4d 6 months ago succeeded Disabled -
litex-pythondata-misc-tapcfg 2020.08-20220530.2.gitfbcb0242 9 months ago succeeded Disabled -
litex-pythondata-misc-usb_ohci 0-20210601.2.git1f9c7731 9 months ago succeeded Disabled -
litex-pythondata-software-compiler_rt 2020.08-20201104.3.gitfcb03245 a month ago succeeded Disabled -
litex-pythondata-software-picolibc 2022.12-20221106.0.gita5e11229 a month ago failed Disabled -
migen 0.9.2-20230118.0.gitccaee68e 4 months ago succeeded Disabled -
mot-adms 2.3.7-20200818.1.git06e1a6cb 10 months ago succeeded Disabled -
nextpnr 1:0.6-20230531.0.git119b47ac 2 days ago failed Disabled -
ngspice 40-20230527.0.gitfd24e5af 8 days ago succeeded Disabled -
nngen 1.3.4-20230415.0.giteac530b7 a month ago succeeded Disabled -
openfpgaloader 0.10.0-20230601.0.git1f5d6cb3 2 days ago succeeded Disabled -
openocd 0.12.0-20230527.0.git78688fea 5 days ago succeeded Disabled -
prjapicula 0.8.1-20230529.0.gitd350cb7c 6 days ago succeeded Disabled -
prjoxide 0-20230517.0.gita64ccc79 18 days ago succeeded Disabled -
prjtrellis 1.4-20230526.0.git89ffb457 8 days ago succeeded Disabled -
pycapnp 1.3.0-20230424.0.gited894304 a month ago succeeded Disabled -
pyfda 0.7.1-20230524.0.git238891d1 7 days ago succeeded Disabled -
pyrtl 0.10.1-20230523.0.gitb18adb44 11 days ago succeeded Disabled -
pysat 0.1.7-20230603.0.git91a921cb a day ago succeeded Disabled -
python-cmake-build-extension 0.5.1-2 9 months ago succeeded Disabled -
python-crcmod 1.7-2 9 months ago succeeded Disabled -
python-fpga-interchange 0.0.20-20221019.1.git04a02101 6 months ago succeeded Disabled -
python-importlib-resources 4.1.1-1 10 months ago succeeded Disabled -
python-okonomiyaki 1.3.2-2 9 months ago succeeded Disabled -
python-orderedmutidict 1.0.1-20220313.0.gitd3912b85.fc37 10 months ago succeeded Disabled -
python-platformio 6.1.7-20230531.0.git63ca1954 4 days ago succeeded Disabled -
python-pygraphviz 1.6-1 9 months ago succeeded Disabled -
python-simplesat 0.8.2-20221121.1.git50e00f34 5 months ago succeeded Disabled -
python-textx 3.1.1-20230423.0.git9b98ded6 a month ago succeeded Disabled -
python-xdot 1.1-7 10 months ago succeeded Disabled -
python-zipfile2 0.0.12-2 9 months ago succeeded Disabled -
python-zipp 0.5.1-3 10 months ago succeeded Disabled -
pytooling 2.1.0-2 9 months ago succeeded Disabled -
pyvcd 0.4.0-20230516.0.git696d9d81 19 days ago succeeded Disabled -
pyverilog 1.3.0-20221223.0.git81838bc4 5 months ago succeeded Disabled -
pyvhdlmodel 0.26.0-20230516.0.gitbf61f564 19 days ago succeeded Disabled -
rapidwright 2023.1.0-20230601.0.git6b107b77 a day ago succeeded Disabled -
rapidyaml 0.1.0-20210323.2.git7d63ba85 10 months ago succeeded Disabled -
schemdraw 0.16-20230530.0.gitb8e1d3cf 4 days ago succeeded Disabled -
silice 0-20230510.0.gitc1472f5d 19 days ago succeeded Disabled -
surelog 1.60-20230530.0.gita1b9af1a 4 days ago succeeded Disabled -
sv-lang 3.0-20230602.0.git08fb0a58 a day ago succeeded Disabled -
symbiyosys 0-20220112.1.gitf5a41e5a 10 months ago succeeded Disabled -
trilinos 14.0.0-20230422.0.gite7012f3f a month ago canceled Disabled -
uhdm 1.60-20230529.0.git35fe8da6 5 days ago succeeded Disabled -
vcdvcd 2.3.3-20220408.2.git963e1c88 10 months ago succeeded Disabled -
verible 0.0-20230323.0.gitbb28b0b4 2 months ago failed Disabled -
verilator 5.011-20230602.0.git545caba7 2 days ago succeeded Disabled -
veriloggen 2.2.0-20230324.0.gite0fd2fed 2 months ago succeeded Disabled -
vtr 8.1.0-20230531.0.git6eb7fe37 2 days ago succeeded Disabled -
wal 0.6.3-20230426.0.gitc7b6ee16 a month ago failed Disabled -
wavedrompy 2.0.3-20220927.0.git82a0e75c 8 months ago succeeded Disabled -
xdm 2.6.0-20221101.0.gite9285fcf 5 months ago succeeded Disabled -
xyce 7.6.0-20230410.0.git0f7f3e36 a month ago succeeded Disabled -
yosys 0.29-20230530.0.git43b807fe 5 days ago succeeded Disabled -
Possible build states importing - Package sources are being imported into Copr DistGit.
pending - Build is waiting in queue for a backend worker.
starting - Backend worker is trying to acquire a builder machine.
running - Build in progress.
succeeded - Successfully built.
forked - Build has been forked from another build.
skipped - This package has already been built previously.
failed - Build failed. See logs for more details.
canceled - The build has been cancelled manually.
waiting - Task is waiting for something else to finish.